Bài giảng Kỹ thuật số (Phần 1)

I. Mạch tương tự và mạch số

Mạch tương tự:

Mạch tương tự (mạch Analog) xử lý các tín hiệu tương tự (là tín hiệu có biên độ biến thiên liên tục theo thời gian). Việc xử lý bao gồm các vấn đề: chỉnh lưu, khuếch đại, điều chế, tách sóng.

Nhược điểm:

 Chống nhiễu thấp (nhiễu dễ xâm nhập)

 Phân tích, thiết kế mạch phức tạp

 

ppt 41 trang phuongnguyen 4040
Bạn đang xem 20 trang mẫu của tài liệu "Bài giảng Kỹ thuật số (Phần 1)", để tải tài liệu gốc về máy hãy click vào nút Download ở trên

Tóm tắt nội dung tài liệu: Bài giảng Kỹ thuật số (Phần 1)

Bài giảng Kỹ thuật số (Phần 1)
MAÏCH SOÁ 
Maõ hoïc phaàn : VL264 
Soá tín chæ : 2 
Thôøi gian : 30 tieát 
Taøi lieäu tham khaûo : 
Nguyeãn Höõu Phöông , “ Maïch Soá ”, Nhaø xuaát baûn thoáng keâ , 2001. 
Ronald J. Tocci , “Digital Systems: principles and applications”, Prentice-Hall international, Inc. 
Veà hoïc taäp , thi cöû vaø kieåm tra : 
Seminar: 2ñ 
Kieåm tra : 2ñ (2 đến 4 baøi kieåm tra (15 – 30 phuùt ), moãi baøi 0.5ñ -1đ, sv thieáu 1- 2 baøi kieåm tra seõ bò caám thi ) 
Thi cuoái kyø : 6ñ 
Noäp maïch thí nghieäm : moãi nhoùm toái ña 2 sv , moãi maïch toái ña 2ñ ( ñaây laø ñieåm coäng theâm ) 
Noäp baøi taäp : tröôøng hôïp ñieåm toång keát < 5ñ seõ ñöôïc xem xeùt neáu sv noäp baøi taäp ñaày ñuû 
Bài 1  HỆ THỐNG SỐ ĐẾM VÀ KHÁI NIỆM VỀ MÃ 
I. Mạch tương tự và mạch số 
Mạch tương tự : 
	 Mạch t ương tự ( mạch Analog) xử lý các tín hiệu tương tự ( là tín hiệu có biên độ biến thiên liên tục theo thời gian ). Việc xử lý bao gồm các vấn đề : chỉnh lưu , khuếch đại , điều chế , tách sóng . 
Nhược điểm : 
	 Chống nhiễu thấp ( nhiễu dễ xâm nhập ) 
	 Phân tích , thiết kế mạch phức tạp 
Mạch số : 
	 Mạch s ố ( mạch Digital) xử lý các tín hiệu số ( là tín hiệu có biên độ biến thiên không liên tục theo thời gian hay rời rạc thời gian ), nó được biểu diễn dưới dạng sóng xung với 2 mức điện thế cao và thấp mà tương ứng với 2 mức điện thế này là 2 mức logic của mạch số . Việc xử lý bao gồm các vấn đề : lọc số , điều chế số , gain điều chế số , mã hóa , giải mã ,  
Moät soá öu ñieåm cuûa maïch soá : 
 Ñôn giaûn , deã hieåu 
 Deã phaân tích , thieát keá 
 Ñoä chính xaùc cao , ít aûnh höôûng bôûi nhieãu 
 Khaû naêng löu tröõ , truyeàn taûi 
 Deã taïo maïch tích hôïp 
 Hoaït ñoäng coù theå laäp trình . 
Vì vaäy , hieän nay maïch soá ñöôïc söû duïng khaù phoå bieán trong taát caû caùc lónh vöïc : ño löôøng soá , truyeàn hình soá , ñieàu khieån soá ,  
II. Hệ thống số đếm 
Hệ đếm là tập hợp các phương pháp gọi và biểu diễn các con số bằng các ký hiệu có giá trị số lượng xác định gọi là chữ số 
Hệ đếm chia làm 2 loại : 
Hệ đếm theo vị trí : là hệ đếm mà trong đó giá trị số lượng của chữ số còn phụ thuộc vào vị trí của nó đứng trong con số 
	 VD: 	1991 ( hệ thập phân ) 
 	1111(hệ nhị phân ) 
Hệ đếm không theo vị trí : là hệ đếm mà trong đó giá trị số lượng của chữ số không phụ thuộc vào vị trí của nó đứng trong con số 
	 VD: Hệ La mã I, II, III,  
III. CƠ SỐ - CHUYỂN ĐỔI CƠ SỐ 
Baát cöù moät soá nguyeân döông R (R>1) ñeàu coù theå ñöôïc choïn laøm cô soá cho moät heä thoáng soá . 
Neáu heä thoáng coù cô soá R thì caùc soá töø 0 ñeán (R-1) ñöôïc söû duïng . 
	 Ví duï : neáu R=8 thì caùc chöõ soá caàn thieát laø 0,1,2,3,4,5,6,7. 
Caùc heä thoáng cô soá thoâng duïng trong kyõ thuaät soá : 
• Thaäp phaân ( cô soá 10). 
• Nhò phaân ( cô soá 2). 
• Baùt phaân ( cô soá 8). 
• Thaäp luïc phaân ( cô soá 16). 
Đổi từ cơ số d sang cơ số 10: 
Về phương pháp , người ta khai triển con số trong cơ số d dưới dạng đa thức theo cơ số của nó . 
VD: 	1101, đổi sang thập phân là 
	1101 (2) =1.2 3 + 1.2 2 + 0.2 1 + 1.2 0 = 13 (10) 
Đổi từ cơ số 10 sang cơ số d: 
Về phương pháp , người ta lấy con số trong cơ số chia liên tiếp cho cơ số d đến khi nào thương bằng không thì thôi . 
IV. Hệ nhị phân ( hệ cơ số 2) 
Hệ nhị phân là hệ đếm mà trong đó chỉ sử dụng hai ký hiệu 0 và 1 để biểu diễn tất cả các số . Hai ký hiệu đó gọi chung là bít hoặc digit và nó đặc trưng cho mạch điện tử có hai trạng thái ổn định hay còn gọi là 2 trạng thái bền Flip-Flop ( ký hiệu là FF). 
Moät chöõ soá nhò phaân goïi laø bit . 
Chuoãi 4 bit nhò phaân goïi laø nibble. 
Chuoãi 8 bit goïi laø byte. 
Chuoãi 16 bit goïi laø word. 
Chuoãi 32 bit goïi laø double word . 
Chöõ soá nhò phaân beân phaûi nhaát cuûa chuoãi bit goïi laø bit coù yù nghóa nhoû nhaát ( least significant bit – LSB ) 
Chöõ soá nhò phaân beân traùi nhaát cuûa chuoãi bit goïi laø bit coù yù nghóa lôùn nhaát ( most significant bit – MSB ). 
Thöôøng duøng chöõ B cuoái chuoãi bit ñeå xaùc ñònh ñoù laø soá nhò phaân . 
V. Mã BCD (Binary Code Decimal) 
Trong đời sống , con người giao tiếp với nhau thông qua một hệ thống ngôn ngữ quy ước , nhưng máy tính chỉ xử lý các dữ liệu nhị phân . Do đó , vấn đề đặt ra là làm thế nào tạo ra một giao diện dễ dàng giữa người và máy tính , nghĩa là máy tính thực hiện được các bài toán do con người đặt ra . Để thực hiện điều đó , người ta đặt ra vấn đề mã hóa dữ liệu . 
Các lĩnh vực mã hóa như : số thập phân , ký tự , âm thanh , hình ảnh ,  
Neáu moãi chöõ soá cuûa soá thaäp phaân ñöôïc moâ taû baèng soá nhò phaân töông öùng vôùi noù , keát quaû ta ñöôïc 1 maõ goïi laø maõ BCD, vì chöõ soá thaäp phaân lôùn nhaát laø 9, caàn 4 bit ñeå maõ hoùa . 
Caùc soá 8,4,2,1 ñöôïc goïi laø troïng soá cuûa maõ vaø ñöôïc goïi laø maõ BCD 8-4-2-1. 
Löu yù : 
Maõ BCD phaûi vieát ñuû 4 bit 
Söï töông öùng chæ aùp duïng cho soá thaäp phaân töø 0 ñeán 9 ( soá nhò phaân töø 1010 ñeán 1111 cuûa soá nhò phaân 4 bit khoâng phaûi laø soá BCD ) 
Thập 
 phân 
BCD 
0 
0000 
1 
0001 
2 
0010 
3 
0011 
4 
0100 
5 
0101 
6 
0110 
7 
0111 
8 
1000 
9 
1001 
VD: 
1941 10 = 11110010101 2 
1941 = 0001 1001 0100 0001 BCD 
BAØI 2 
COÅNG LOGIC VAØ ÑAÏI SOÁ BOOLE 
I. TRAÏNG THAÙI LOGIC O VAØ LOGIC 1 
LOGIC 0 
LOGIC 1 
Sai 
Ñuùng 
Taét 
Môû 
Thaáp 
Cao 
Khoâng 
Ñoàng yù 
Giaû 
Thaät 
0V 
0,8V 
2,0V 
3,4V 
5V 
Logic 1 
( möùc cao ) 
Logic 0 
( möùc thaáp ) 
Möùc logic : 
Soá nhò phaân coù soá maõ laø 0,1 vaø cô soá laø 2 
Soá thaäp phaân 
Soá thaäp luïc 
Soá nhò phaân 
0 
1 
2 
3 
4 
5 
6 
7 
8 
9 
10 
11 
12 
13 
14 
15 
0 
1 
2 
3 
4 
5 
6 
7 
8 
9 
A 
B 
C 
D 
E 
F 
0000 
0001 
0010 
0011 
0100 
0101 
0110 
0111 
1000 
1001 
1010 
1011 
1100 
1101 
1110 
1111 
Ví duï : 
112 D = 0111 0000 B = 70 H 
	 7	 0 
D: decimal 
B: binary 
H: hexadecimal 
+ 
- 
+ 
- 
R C 
C 
E 
B 
V CC 
RB 
I C 
I B 
V I = 0 
V O V CC 
V O 0 
R C 
C 
E 
B 
V CC 
RB 
I C 
I B 
V I = V CC 
II. CAÙC COÅNG (HAØM) LOGIC 
1. COÅNG AND 
A 
A 
B 
B 
C 
Y 
Y 
Bieán soá 
Haøm soá 
A 
B 
Y 
0 
0 
1 
1 
0 
1 
0 
1 
0 
0 
0 
1 
Baûng traïng thaùi ( baûng söï thaät ): tìm traïng thaùi ngoõ ra theo ñieàu kieän ngoõ vaøo 
 A = 0 -> Y = 0 baát chaáp B 
 A = 1 -> Y = B 
Y = A.B 
( ñoïc : Y baèng A VAØ B) 
LED 
1 
0 
+ 
- 
 Y = 1: saùng 
 Y = 0 : taét 
LED 
0 
A 
1 
B 
VCC 
 5V 
DIODE 
R 
VCC = 5V 
0 = 0V 
1 = 5V 
A 
B 
Y = A.B 
I 
0 
1 
1 
1 
0 
0 
1 
A 
B 
Y 
t 0 
t 1 
t 2 
t 3 
t 4 
t 5 
t 6 
t 7 
1 
2 
3 
74LS08 
A 
B 
Y 
2. COÅNG OR 
A 
B 
Y 
Bieán soá 
Haøm soá 
A 
B 
Y 
0 
0 
1 
1 
0 
1 
0 
1 
0 
1 
1 
1 
Baûng traïng thaùi : 
Y = A + B 
( ñoïc : Y baèng A HOAËC B) 
 A = 0 -> Y = B 
 A = 1 -> Y = 1 baát chaáp B 
DIODE 
R 
0 = 0V 
1 = 5V 
I 
Y =A + B 
A 
B 
Y = 1: saùng 
Y = 0: taét 
LED 
1 
0 
A 
1 
0 
B 
+ 
- 
VCC 
5V 
0 
1 
1 
1 
0 
0 
1 
A 
B 
Y 
t 0 
t 1 
t 2 
t 3 
t 4 
t 5 
t 6 
t 7 
9 
10 
8 
7432 
A 
B 
Y 
3. COÅNG NOT 
A 
Y = 
Baûng traïng thaùi : 
Bieán soá 
Haøm soá 
A 
B 
0 
1 
1 
0 
( ñoïc : Y baèng A KHOÂNG B) 
 Chæ coù moät ngoõ vaøo vaø moät ngoõ ra 
Y = 1 : saùng 
Y = 0: taét 
LED 
A 
VCC = 5V 
C 
E 
B 
RC 
RB 
VCC 
5V 
+ 
- 
0 
1 
Y = 
A 
0 = 0V 
1= 5V 
OR 
AND 
NOT 
0 + 0 = 0 
0 + 1 = 1 
1 + 0 = 1 
1 + 1 = 1 
0 . 0 = 0 
0 . 1 = 0 
1 . 0 = 0 
1 . 1 = 1 
Toùm taét 
A 
A 
A 
A 
B 
B 
B 
Y 
Y 
Y 
Y 
C 
C 
C 
4. COÅNG NAND 
Bieán soá 
Haøm soá 
A 
B 
Y 
0 
0 
1 
1 
0 
1 
0 
1 
1 
1 
1 
0 
Baûng traïng thaùi : 
A 
B 
Y 
A 
B 
Y 
 A = 0 -> Y = 1 baát chaáp B 
 A = 1 -> Y = 
0 
1 
1 
1 
0 
0 
1 
A 
B 
Y 
t 0 
t 1 
t 2 
t 3 
t 4 
t 5 
t 6 
t 7 
A 
B 
Y 
4 
5 
6 
74LS00 
5. COÅNG NOR 
A 
B 
Y 
Bieán soá 
Haøm soá 
A 
B 
Y 
0 
0 
1 
1 
0 
1 
0 
1 
1 
0 
0 
0 
Baûng traïng thaùi : 
A 
B 
Y 
 A = 1 -> Y = 0 
 A = 0 -> Y = 
6. COÅNG EX-OR (EXCLUSIVE-OR) 
Bieán soá 
Haøm soá 
A 
B 
Y 
0 
0 
1 
1 
0 
1 
0 
1 
0 
1 
1 
0 
Baûng traïng thaùi : 
Y 
B 
A 
 Cuøng traïng thaùi ngoõ ra = 0 
 Khaùc traïng thaùi ngoõ ra = 1 
III. ÑAÏI SOÁ BOOLE 
OR 
AND 
NOT 
0 + 0 = 0 
0 + 1 = 1 
1 + 0 = 1 
1 + 1 = 1 
0 . 0 = 0 
0 . 1 = 0 
1 . 0 = 0 
1 . 1 = 1 
Caùc pheùp tính khi aùp duïng cho logic 0 vaø 1 laø : 
Caùc ñònh lyù : 
X . 0 = 0 
X . 1 = X 
X . X = X 
X . = 0 
(5) X + 0 = X 
(6) X + 1 = 1 
(7) X + X = X 
(8) X + = 1 
(9) X + Y = Y + X ( giao hoaùn ) 
(10) X . Y = Y . X ( giao hoaùn ) 
(11) X + (Y + Z) = (X + Y) + Z = X + Y + Z ( phoái hôïp ) 
(12) X(YZ) = (XY)Z = XYZ ( phoái hôïp ) 
(13a) X(Y + Z) = XY + XZ ( phaân boá ) 
(13b) (W + X)(Y + Z) = WY + XY + WZ + XZ ( phaân boá ) 
 X + XY = X 
 X + = X + Y 
* Ñònh luaät De Morgan: 
VD: 
1/ Toái giaûi bieåu thöùc sau : 
2/ Duøng coång NAND vaø coång NOT ñeå veõ maïch ñieän coù bieåu thöùc 
3/ Duøng coång AND, coång OR vaø coång NOT ñeå veõ maïch ñieän coù bieåu thöùc 
4/ Duøng ñònh luaät De Morgan toái giaûn bieåu thöùc : 
III. BAÛN ÑOÀ KARNAUGH 
Baûn ñoà Karnaugh laø moät caùch trình baøy baûng söï thaät ôû daïng baûn ñoà ñeå dieãn taû söï lieân heä logic giöõa ngoõ ra vaø caùc bieán ngoõ vaøo . Soá oâ chieám bôûi moät soá haïng trong baûn ñoà Karnaugh seõ baèng 2 n-p vôùi n laø soá bieán soá cuûa haøm soá , p laø soá bieán soá cuûa moãi soá haïng 
* 1 bieán soá : 
A 
A 
* 2 bieán soá : 
A 
B 
A 
B 
AB 
Bieán soá 
Haøm soá 
A 
B 
Y 
0 
0 
1 
1 
0 
1 
0 
1 
AB 
- OÂ keà laø oâ ñi töø oâ naøy ñeán oâ kia chæ coù moät bieán soá thay ñoåi. 
- K hi ñôn giaûn bieåu thöùc ta phaûi khoanh voøng troøn , maø trong voøng 	 ñoù caùc oâ phaûi keà nhau vaø phaûi laø voøng lôùn nhaát. 
* 3 bieán soá : 
A 
AB 
B 
C 
B 
ABC 
AB 
A 
A 
C 
C 
B 
C 
0000 
0100 
1100 
1000 
0001 
0101 
1101 
1001 
0011 
0111 
1111 
1010 
0010 
0110 
1110 
1011 
AB 
CD 
* 4 bieán soá : 
* Ví duï 1: 
* Ví duï 2: 
A 
AB 
B 
C 
0 
0 
0 
1 
1 
0 
0 
1 
A 
AB 
B 
C 
1 
1 
0 
0 
1 
1 
0 
1 
* Ví duï 3: 
1 
1 
1 
1 
1 
1 
B 
AB 
A 
C 
D 
CD 
IV. T hôøi gian treã ngang qua coång logic 
t d : thôøi gian trì hoaõn 
t r : thôøi taêng (rise time) 
t on : thôøi gian môû (turn on time) 
t p : thôøi gian coù xung ra (pulse time) 
t s : thôøi gian tröõ(storage time) 
t f : thôøi gian giaûm (fall time) 
t off : thôøi gian taét (turn off time) 
90% 
90% 
10% 
t d 
10% 
0V 
5V 
t r 
t on 
t s 
t f 
t off 
t p 
Thôøi gian treã töø 3 – 5 ns ( nanoâ giaây ) 
Ngöôøi ta giaûm thôøi gian t on vaø t off baèng caùch gaén theâm 1 tuï CB thích hôïp ngang qua R B ñeå naïp vaø xaõ ñieän nhanh . 
V. Phaân loaïi TTL 
- Thöôøng hay chuaån (standard): 74 
- Coâng suaát thaáp (low power): 74L 
- Coâng suaát cao (high power): 74H 
- Schottky coâng suaát thaáp : 74LS 
- Schottky tieân tieán (advanced schottky ): 74AS 
- Schottky nhanh (fast schottky ): 74F 
- Schottky coâng suaát thaáp tieân tieán : 74ALS 
Moãi loaïi coù 3 daïng maïch : 
-   TTL cöïc thu noái cao theá 
-   TTL cöïc thu ñeå hôû : 
	+ Noái caùc ngoõ ra laïi vôùi nhau 
	+ Taïo tính NOR 
- TTL 3 traïng thaùi 
	 Hoï 74 .. . hoaït ñoäng töø O 0 c - 75 0 c 
	 Hoï 54 .. . hoaït ñoäng töø - 75 0 c - 125 0 c 

File đính kèm:

  • pptbai_giang_ky_thuat_so_phan_1.ppt